From mboxrd@z Thu Jan 1 00:00:00 1970 Return-Path: Received: from dpdk.org (dpdk.org [92.243.14.124]) by inbox.dpdk.org (Postfix) with ESMTP id B82E4A0573; Wed, 4 Mar 2020 19:22:46 +0100 (CET) Received: from [92.243.14.124] (localhost [127.0.0.1]) by dpdk.org (Postfix) with ESMTP id 0C6702C02; Wed, 4 Mar 2020 19:22:46 +0100 (CET) Received: from mga02.intel.com (mga02.intel.com [134.134.136.20]) by dpdk.org (Postfix) with ESMTP id 2ABBA23D for ; Wed, 4 Mar 2020 19:22:43 +0100 (CET) X-Amp-Result: SKIPPED(no attachment in message) X-Amp-File-Uploaded: False Received: from fmsmga008.fm.intel.com ([10.253.24.58]) by orsmga101.jf.intel.com with ESMTP/TLS/DHE-RSA-AES256-GCM-SHA384; 04 Mar 2020 10:22:43 -0800 X-ExtLoop1: 1 X-IronPort-AV: E=Sophos;i="5.70,514,1574150400"; d="scan'208";a="234198991" Received: from skx-5gnr-sc12-4.sc.intel.com ([172.25.69.210]) by fmsmga008.fm.intel.com with ESMTP; 04 Mar 2020 10:22:42 -0800 From: Nicolas Chautru To: thomas@monjalon.net, akhil.goyal@nxp.com, dev@dpdk.org Cc: ferruh.yigit@intel.com, Nicolas Chautru Date: Wed, 4 Mar 2020 10:22:17 -0800 Message-Id: <1583346152-10186-1-git-send-email-nicolas.chautru@intel.com> X-Mailer: git-send-email 1.8.3.1 In-Reply-To: <1582778348-113547-15-git-send-email-nicolas.chautru@intel.com> References: <1582778348-113547-15-git-send-email-nicolas.chautru@intel.com> Subject: [dpdk-dev] [PATCH v2 00/15] bbdev new features X-BeenThere: dev@dpdk.org X-Mailman-Version: 2.1.15 Precedence: list List-Id: DPDK patches and discussions List-Unsubscribe: , List-Archive: List-Post: List-Help: List-Subscribe: , Errors-To: dev-bounces@dpdk.org Sender: "dev" v2: including release note update + fix for typo in commit message reported by DPDK CI. This set includes extending support for the bbdev device drivers for 20.05 and notably add a new baseband PMD for FPGA 5GNR FEC implementation. Documentation is updated as well accordingly. Nic Chautru (14): bbdev: add capability flag for filler bits inclusion in HARQ bbdev: expose device HARQ buffer size at device level baseband/turbo_sw: fix the exposed LLR decimals assumption baseband/turbo_sw: support large size code block test-bbdev: rename FPGA LTE macros to be more explicit test-bbdev: support HARQ validation test-bbdev: support for performance tests test-bbdev: support for LDPC interrupt test test-bbdev: support for offload test for LDPC test-bbdev: vectors update doc: update of testbbdev documentation drivers/baseband: add PMD for FPGA 5GNR FEC test-bbdev: add support for FPGA driver initialization doc: add feature matrix table for bbdev devices Nicolas Chautru (1): doc: add release note for bbdev PMD update .gitignore | 1 + app/test-bbdev/Makefile | 3 + app/test-bbdev/ldpc_dec_default.data | 2 +- app/test-bbdev/main.c | 29 +- app/test-bbdev/main.h | 9 +- app/test-bbdev/meson.build | 3 + app/test-bbdev/test_bbdev_perf.c | 1468 ++++++++++- app/test-bbdev/test_bbdev_vector.c | 9 +- app/test-bbdev/test_vectors/ldpc_dec_v2342.data | 745 ------ app/test-bbdev/test_vectors/ldpc_dec_v9503.data | 4 +- .../turbo_dec_c1_k6144_r0_e34560_negllr.data | 645 ----- .../turbo_dec_c2_k3136_r0_e4920_sbd_negllr.data | 676 ------ ...bo_dec_c2_k3136_r0_e4920_sbd_negllr_crc24b.data | 5 +- .../turbo_enc_c2_k5952_r0_e17868_crc24b.data | 300 --- .../turbo_enc_c4_k4800_r2_e14412_crc24b.data | 252 -- config/common_base | 5 + doc/guides/bbdevs/features/default.ini | 16 + doc/guides/bbdevs/features/fpga_5gnr_fec.ini | 11 + doc/guides/bbdevs/features/fpga_lte_fec.ini | 10 + doc/guides/bbdevs/features/mbc.ini | 14 + doc/guides/bbdevs/features/null.ini | 7 + doc/guides/bbdevs/features/turbo_sw.ini | 11 + doc/guides/bbdevs/fpga_5gnr_fec.rst | 297 +++ doc/guides/bbdevs/index.rst | 2 + doc/guides/bbdevs/overview.rst | 15 + doc/guides/conf.py | 5 + doc/guides/rel_notes/release_20_05.rst | 12 + doc/guides/tools/testbbdev.rst | 337 ++- drivers/baseband/Makefile | 2 + drivers/baseband/fpga_5gnr_fec/Makefile | 29 + drivers/baseband/fpga_5gnr_fec/fpga_5gnr_fec.c | 2552 ++++++++++++++++++++ drivers/baseband/fpga_5gnr_fec/fpga_5gnr_fec.h | 74 + drivers/baseband/fpga_5gnr_fec/meson.build | 6 + .../rte_pmd_bbdev_fpga_5gnr_fec_version.map | 10 + drivers/baseband/meson.build | 2 +- drivers/baseband/turbo_sw/bbdev_turbo_software.c | 15 +- lib/librte_bbdev/rte_bbdev.h | 4 + lib/librte_bbdev/rte_bbdev_op.h | 14 +- mk/rte.app.mk | 1 + 39 files changed, 4784 insertions(+), 2818 deletions(-) delete mode 100644 app/test-bbdev/test_vectors/ldpc_dec_v2342.data delete mode 100644 app/test-bbdev/test_vectors/turbo_dec_c1_k6144_r0_e34560_negllr.data delete mode 100644 app/test-bbdev/test_vectors/turbo_dec_c2_k3136_r0_e4920_sbd_negllr.data delete mode 100644 app/test-bbdev/test_vectors/turbo_enc_c2_k5952_r0_e17868_crc24b.data delete mode 100644 app/test-bbdev/test_vectors/turbo_enc_c4_k4800_r2_e14412_crc24b.data create mode 100644 doc/guides/bbdevs/features/default.ini create mode 100644 doc/guides/bbdevs/features/fpga_5gnr_fec.ini create mode 100644 doc/guides/bbdevs/features/fpga_lte_fec.ini create mode 100644 doc/guides/bbdevs/features/mbc.ini create mode 100644 doc/guides/bbdevs/features/null.ini create mode 100644 doc/guides/bbdevs/features/turbo_sw.ini create mode 100644 doc/guides/bbdevs/fpga_5gnr_fec.rst create mode 100644 doc/guides/bbdevs/overview.rst create mode 100644 drivers/baseband/fpga_5gnr_fec/Makefile create mode 100644 drivers/baseband/fpga_5gnr_fec/fpga_5gnr_fec.c create mode 100644 drivers/baseband/fpga_5gnr_fec/fpga_5gnr_fec.h create mode 100644 drivers/baseband/fpga_5gnr_fec/meson.build create mode 100644 drivers/baseband/fpga_5gnr_fec/rte_pmd_bbdev_fpga_5gnr_fec_version.map -- 1.8.3.1