From mboxrd@z Thu Jan 1 00:00:00 1970 Return-Path: Received: from mga01.intel.com (mga01.intel.com [192.55.52.88]) by dpdk.org (Postfix) with ESMTP id 7FE161E2F for ; Mon, 16 Jan 2017 17:19:36 +0100 (CET) Received: from fmsmga003.fm.intel.com ([10.253.24.29]) by fmsmga101.fm.intel.com with ESMTP; 16 Jan 2017 08:19:35 -0800 X-ExtLoop1: 1 X-IronPort-AV: E=Sophos;i="5.33,239,1477983600"; d="scan'208";a="809499553" Received: from rhorton-mobl.ger.corp.intel.com (HELO VM.ir.intel.com) ([163.33.230.196]) by FMSMGA003.fm.intel.com with ESMTP; 16 Jan 2017 08:19:34 -0800 From: Remy Horton To: dev@dpdk.org Cc: Thomas Monjalon Date: Mon, 16 Jan 2017 16:19:27 +0000 Message-Id: <1484583573-30163-1-git-send-email-remy.horton@intel.com> X-Mailer: git-send-email 2.5.5 In-Reply-To: <1484150594-3758-1-git-send-email-remy.horton@intel.com> Subject: [dpdk-dev] [PATCH v7 0/6] Expanded statistics reporting X-BeenThere: dev@dpdk.org X-Mailman-Version: 2.1.15 Precedence: list List-Id: DPDK patches and discussions List-Unsubscribe: , List-Archive: List-Post: List-Help: List-Subscribe: , X-List-Received-Date: Mon, 16 Jan 2017 16:19:37 -0000 This patchset extends statistics reporting to include peak and average data-rate metrics. It comes in two parts: a statistics reporting library, and a bitrate calculation library that uses it. This structure is intended to seperate statistic reporting from ethdev and allow more flexible metric registration. Due to merge issues Reshma's latency statistics, which depends on the reporting library, has been merged into this patchset. -- v7 changes: * RTE_METRICS_NONPORT renamed to RTE_METRICS_GLOBAL * Multiple changes to rte_metrics.h doxygen documentation * Split apart latency patch into lib, test-pmd, & proc_info parts * Reordered patches by functionality * Insufficent capacity return value changed from -ERANGE to actual size * Cache alignment in bitrate library * Tightened up const usage to avoid STATIC_CONST_CHAR_ARRAY warning * Reshma reinstated as author for (now split) latency patch * Rebase to master v6 changes: * Metrics display now has "Non port specific" rather than "port -1" * Fixed sign issue in EWMA delta calculation * Rebased to master v5 changes: * Updated Shared Library Versions in release notes * Merged in Reshma's latencystats library v4 changes: * References to 16.11 changed to 17.02 * Fetching of non-port values was broken * Added sanity checks to value fetching * rte_stat_value renamed to rte_metric_value * Corrected doxygen descriptions * Added MAINTAINERS entries * Added #ifdef directives to bitrate code in test-pmd v3 changes: * Marked rte_stats_bitrate_s as internal * Minor integer roundoff correction * Coding style corrections * Removed spurious object allocation * Changes to rte_metrics.[ch] moved from Patch 2/3 to 1/3. * Reintroduced non-port values (RTE_METRICS_NONPORT) * Added spinlocks to metric library * Removed spurious test registration/update * Added release notes entries v2 changes: * Uses a new metrics library rather than being part of ethdev Remy Horton (3): lib: add information metrics library lib: add bitrate statistics library app/test-pmd: add bitrate statistics calculation Reshma Pattan (3): app/proc_info: add metrics displaying lib: added new library for latency stats app/test-pmd: add latency statistics calculation MAINTAINERS | 13 + app/proc_info/main.c | 73 ++++ app/test-pmd/testpmd.c | 46 +++ config/common_base | 15 + doc/api/doxy-api-index.md | 3 + doc/api/doxy-api.conf | 3 + doc/guides/rel_notes/release_17_02.rst | 18 + lib/Makefile | 3 + lib/librte_bitratestats/Makefile | 53 +++ lib/librte_bitratestats/rte_bitrate.c | 132 +++++++ lib/librte_bitratestats/rte_bitrate.h | 80 +++++ .../rte_bitratestats_version.map | 9 + lib/librte_latencystats/Makefile | 57 +++ lib/librte_latencystats/rte_latencystats.c | 389 +++++++++++++++++++++ lib/librte_latencystats/rte_latencystats.h | 146 ++++++++ .../rte_latencystats_version.map | 10 + lib/librte_mbuf/rte_mbuf.h | 3 + lib/librte_metrics/Makefile | 51 +++ lib/librte_metrics/rte_metrics.c | 310 ++++++++++++++++ lib/librte_metrics/rte_metrics.h | 223 ++++++++++++ lib/librte_metrics/rte_metrics_version.map | 13 + mk/rte.app.mk | 3 + 22 files changed, 1653 insertions(+) create mode 100644 lib/librte_bitratestats/Makefile create mode 100644 lib/librte_bitratestats/rte_bitrate.c create mode 100644 lib/librte_bitratestats/rte_bitrate.h create mode 100644 lib/librte_bitratestats/rte_bitratestats_version.map create mode 100644 lib/librte_latencystats/Makefile create mode 100644 lib/librte_latencystats/rte_latencystats.c create mode 100644 lib/librte_latencystats/rte_latencystats.h create mode 100644 lib/librte_latencystats/rte_latencystats_version.map create mode 100644 lib/librte_metrics/Makefile create mode 100644 lib/librte_metrics/rte_metrics.c create mode 100644 lib/librte_metrics/rte_metrics.h create mode 100644 lib/librte_metrics/rte_metrics_version.map -- 2.5.5